Hello, World!

  • Last updated on 19th May 2024

Finally, this day has came: I have started a personal blog.

It is currently powered by Zola: a static site generator written in Rust. One of my goals for 2024 is to write my own content management system for the blog, so that I learn more-or-less modern web development and DevOps, so I escape my employer's tech island. I am going to document the creation of the CMS in this very blog.

So you want a blog So you want a blog

I plan to cover a lot of random topics. These can be related to IT and tech: software development and my journey as a software engineer in a big tech company; or to swiss outdoor activities: nice hiking, cycling, or mountaineering routes; or to any other things that I consider worth sharing.